说明:双击或选中下面任意单词,将显示该词的音标、读音、翻译等;选中中文或多个词,将显示翻译。
您的位置:首页 -> 词典 -> Vrilog-HDL
1)  Verilog-HDL
Vrilog-HDL
1.
The designing procedure is also described by using Verilog-HDL hardware description language with Xilinx ISE 5.
简单叙述了利用Vrilog-HDL硬件描述语言和Xilinx ISE5。
2)  Fibrinogen [英][fai'brinədʒən]  [美][faɪ'brɪnədʒən]
LDL/HDL
1.
The predictive value both high of serum Fibrinogen and LDL/HDL for acute coronary risk;
高水平的纤维蛋白原与LDL/HDL比值对冠脉风险的联合评估价值探讨
3)  VerilogHDL
Verilog HDL
1.
A programmable logical device is programmed by VerilogHDL to realize the conversion from series to parallel for single chip computers.
本文介绍了一种固定信号格式的串并转换,利用 Verilog HDL 语言对一块可编程逻辑器件进行编程,实现单片机串行口输出的串行数据到8位并行数据的转换。
4)  HDL cholesterol
HDL胆固醇
5)  HDL subclasses
HDL亚类
1.
Relationship between characteristic of serum HDL subclasses distribution and apolipoproteins;
血清HDL亚类分布特征及与载脂蛋白的关系
2.
AIM: To investigate lipoprotein lipase gene HindⅢ polymorphism and its relationship with serum lipids and apolipoprotein, serum HDL subclasses in patients with hyperlipoidemia.
目的:探讨高脂血症患者脂蛋白酯酶基因内含子8HindⅢ酶切位点多态性与HDL亚类组成的变化关系。
3.
Alterations of HDL subclasses in endogenous hypertriglyceridemiaTo investigate the alterations of HDL subclasses in endogenous hypertriglyceridemic subjects.
内源性高甘油三酯血症患者血清HDL亚类组成及含量的研究 探讨内源性高甘油三酯血症患者高密度脂蛋白(HDL)亚类含量及分布特征。
6)  HDL
HDL语言
补充资料:HDL
分子式:
CAS号:

性质:又称α-脂蛋白,高密度脂蛋白。用超速离心方法可将血浆脂蛋白分成乳糜微粒,极低密度脂蛋白,低密度脂蛋白,高密度脂蛋白。其中沉淀于离心管底部的那一部分称高密度脂蛋白。由于其脂质含量相对低于其他三个类别,故又称低脂质脂蛋白。含蛋白(45%~50%),甘油三酯(5%),胆甾醇(20%),磷脂(30%)。分子量2×105左右。相对密度1.063~1.2。直径约10nm。主要生理功能是转运磷脂和胆固醇。

说明:补充资料仅用于学习参考,请勿用于其它任何用途。
参考词条